面向列的内存访问研究综述
作者:
基金项目:

国家自然科学基金(61773286)


Survey on Column-Oriented Memory Access
Author:
  • CHEN Rui

    CHEN Rui

    Tianjin Key Laboratory of Intelligence Computing and Novel Software Technology, Tianjin University of Technology, Tianjin 300384, China;Engineering Research Center of Learning-Based Intelligent System (Ministry of Education), Tianjin University of Technology, Tianjin 300384, China
    在期刊界中查找
    在百度中查找
    在本站中查找
  • XIA Cheng-Yi

    XIA Cheng-Yi

    Tianjin Key Laboratory of Intelligence Computing and Novel Software Technology, Tianjin University of Technology, Tianjin 300384, China;Engineering Research Center of Learning-Based Intelligent System (Ministry of Education), Tianjin University of Technology, Tianjin 300384, China
    在期刊界中查找
    在百度中查找
    在本站中查找
  • 摘要
  • | |
  • 访问统计
  • |
  • 参考文献 [52]
  • |
  • 相似文献 [20]
  • | | |
  • 文章评论
    摘要:

    在大规模、数据量密集的特定应用场景下, 以行存储访问数据的方式弊端日益凸显, 逐渐不能满足数据高速访问的性能需求, 数据亟需更加高效的传输和处理方式. 因此, 拓展新的内存访问方式, 并且同时兼容行、列方向的访问对提升访问效率、降低整体功耗、节省内存空间有着重要意义. 本文围绕动态随机存储和非易失性存储两个方面来详细介绍实现列方向的内存访问方式, 重点分析了存储单元的结构设计以及实现列向存储访问过程. 最后, 对内存两种不同访问方式进行了比较和总结, 并且对行列访问的内存数据库、数据挖掘、数据加密算法、实时系统的应用场景进行了展望.

    Abstract:

    In large-scale, data-intensive application scenarios, the disadvantages of row storage access to data become increasingly prominent, which is gradually unable to meet the performance requirements for high-speed data access, and data needs more efficient transmission and processing methods. Therefore, it is of great significance to expand new memory access methods compatible with row and column access at the same time to improve access efficiency, reduce overall power consumption, and save memory space. This study focuses on dynamic random storage and non-volatile storage to introduce the column-oriented memory access method in detail, highlighting the analysis of the structural design for storage units and the implementation of the column-oriented memory access process. Finally, the two different ways of memory access are compared and summarized, and row- and column-oriented in-memory databases, data mining, data encryption algorithms, and the application scenarios of real-time systems are forecasted.

    参考文献
    [1] Bringsjord S, Schimanski B. What is artificial intelligence? Psychometric AI as an answer. Proceedings of the 18th International Joint Conference on Artificial Intelligence. Acapulco: ACM, 2003. 887–893.
    [2] George G, Haas MR, Pentland A. Big data and management. Academy of Management Journal, 2014, 57(2): 321–326. [doi: 10.5465/amj.2014.4002
    [3] Sun WQ, Li FQ, Guo W, et al. Store, schedule and switch — A new data delivery model in the big data era. 2013 15th International Conference on Transparent Optical Networks (ICTON). Cartagena: IEEE, 2013. 1–4.
    [4] Goli-Malekabadi Z, Sargolzaei-Javan M, Akbari MK. An effective model for store and retrieve big health data in cloud computing. Computer Methods and Programs in Biomedicine, 2016, 132: 75–82. [doi: 10.1016/j.cmpb.2016.04.016
    [5] Stanley-Marbell P, Cabezas VC, Luijten R. Pinned to the walls: Impact of packaging and application properties on the memory and power walls. Proceedings of the 17th IEEE/ACM International Symposium on Low-Power Electronics and Design. Fukuoka: IEEE, 2011. 51–56.
    [6] Hennessy JL, Patterson DA. Computer Architecture: A Quantitative Approach. 4th ed. San Mateo: Morgan Kaufmann, 2006. 599–600.
    [7] Wulf WA, Mckee SA. Hitting the memory wall: Implications of the obvious. SIGARCH Computer Architecture News, 1995, 23(1): 20–24. [doi: 10.1145/216585.216588
    [8] Ramkumar K, Kouznetsov I, Prabhakar V, et al. A scalable, low voltage, low cost SONOS memory technology for embedded NVM applications. 2013 5th IEEE International Memory Workshop. Monterey: IEEE, 2013. 199–202.
    [9] Jagasivamani M, Walden C, Singh D, et al. Design for ReRAM-based main-memory architectures. Proceedings of the International Symposium on Memory Systems. Washington: ACM, 2019. 342–350.
    [10] Scheick LZ, Guertin SM, Swift GM. Analysis of radiation effects on individual DRAM cells. IEEE Transactions on Nuclear Science, 2000, 47(6): 2534–2538. [doi: 10.1109/23.903804
    [11] 李月, 王芳. 基于NVM的存储安全综述. 计算机科学, 2018, 45(7): 53–60
    [12] Smith FJ, Yu RT, Lee I, et al. A 64 Kbit MOS dynamic RAM with novel memory capacitor. IEEE Journal of Solid-State Circuits, 1980, 15(2): 184–189. [doi: 10.1109/JSSC.1980.1051361
    [13] Chatterjee S, Sen S. Cache-efficient matrix transposition. Proceedings 6th International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No. PR00550). Touluse: IEEE, 2000. 195–205.
    [14] Seshadri V, Mullins T, Boroumand A, et al. Gather-scatter DRAM: In DRAM-address translation to improve the spatial locality of non-unit strided accesses. Proceedings of the 48th International Symposium on Microarchitecture. Waikiki: ACM, 2015. 267–280.
    [15] Pharr M. GPU Gems 2: Programming Techniques for High-performance Graphics and General-Purpose Computation. Upper Saddle River: Addison-Wesley Professional, 2005.
    [16] Chatterjee S, Jain VV, Lebeck RA, et al. Nonlinear array layouts for hierarchical memory systems. Proceedings of the 13th International Conference on Supercomputing. Rhodes: ACM, 1999. 444–453.
    [17] Thiyagalingam J, Beckmannn O, Kelly PHJ. An exhaustive evaluation of row-major, column-major and morton layouts for large two-dimensional arrays. Proceedings of the 19th Annual UK Performance Engineering Workshop. 2003. 1–12.
    [18] Dahlgren F, Stenstrom P. Evaluation of hardware-based stride and sequential prefetching in shared-memory multiprocessors. IEEE Transactions on Parallel and Distributed Systems, 1996, 7(4): 385–398. [doi: 10.1109/71.494633
    [19] Chen YH, Liu YY. Dual-addressing memory architecture for two-dimensional memory access patterns. Proceedings of the Conference on Design, Automation and Test in Europe 2013. Grenoble: ACM, 2013. 71–76.
    [20] Yu J, Jang W. FDRAM: DRAM architecture flexible in successive row and column accesses. 2015 33rd IEEE International Conference on Computer Design (ICCD). New York: IEEE, 2015. 451–454.
    [21] Kim K, Koh GH. Future memory technology including emerging new memories. 2004 24th International Conference on Microelectronics (IEEE Cat. No. 04TH8716). Nis: IEEE, 2004. 377–384.
    [22] 肖仁智, 冯丹, 胡燏翀, 等. 面向非易失内存的数据一致性研究综述. 计算机研究与发展, 2020, 57(1): 85–101. [doi: 10.7544/issn1000-1239.2020.20190062
    [23] Mao MQ, Cao Y, Yu SM, et al. Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings. 2015 33rd IEEE International Conference on Computer Design (ICCD). New York: IEEE, 2015. 359–366.
    [24] Kültürsay E, Kandemir M, Sivasubramaniam A, et al. Evaluating STT-RAM as an energy-efficient main memory alternative. 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). Austin: IEEE, 2013. 256–267.
    [25] 张鸿斌, 范捷, 舒继武, 等. 基于相变存储器的存储系统与技术综述. 计算机研究与发展, 2014, 51(8): 1647–1662. [doi: 10.7544/issn1000-1239.2014.20131123
    [26] Strukov DB, Snider GS, Stewart DR, et al. The missing memristor found. Nature, 2008, 453(7191): 80–83. [doi: 10.1038/nature06932
    [27] Arulraj J, Pavlo A. How to build a non-volatile memory database management system. Proceedings of the 2017 ACM International Conference on Management of Data. Illinois: ACM, 2017. 1753–1758.
    [28] Li D, Vetter SJ, Marin G, et al. Identifying opportunities for byte-addressable non-volatile memory in extreme-scale scientific applications. 2012 IEEE 26th International Parallel and Distributed Processing Symposium. Shanghai: IEEE, 2012. 945–956.
    [29] 陈吉强. 异构内存系统中页面管理的优化设计[硕士学位论文]. 合肥: 中国科学技术大学, 2019.
    [30] 叶源远. 面向3D堆叠的非易失性内存压缩和磨损均衡技术[硕士学位论文]. 武汉: 华中科技大学, 2019.
    [31] 杜娇. 基于阻变存储器的混合存储模型研究[硕士学位论文]. 乌鲁木齐: 新疆大学, 2018.
    [32] Xu C, Niu DM, Muralimanohar N, et al. Overcoming the challenges of crossbar resistive memory architectures. 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). Burlingame: IEEE, 2015. 476–488.
    [33] Xu C. Modeling, circuit design, and microarchitectural optimization of emerging resistive memory [Ph.D. Thesis]. State College: The Pennsylvania State University, 2014.
    [34] Chi P, Li SC, Xu C, et al. PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. ACM SIGARCH Computer Architecture News, 2016, 44(3): 27–39. [doi: 10.1145/3007787.3001140
    [35] Liu CC, Wu T. ReRAM-based circuit and system design for future storage and computing. 2018 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS). Chengdu: IEEE, 2018. 390–393.
    [36] Wong HSP, Lee HY, Yu SM, et al. Metal-oxide RRAM. Proceedings of the IEEE, 2012, 100(6): 1951–1970. [doi: 10.1109/JPROC.2012.2190369
    [37] Xu C, Niu DM, Muralimanohar N, et al. Understanding the trade-offs in multi-level cell ReRAM memory design. 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC). Austin: IEEE, 2013. 1–6.
    [38] Kau D, Tang S, Karpov IV, et al. A stackable cross point phase change memory. 2009 IEEE International Electron Devices Meeting (IEDM). Baltimore: IEEE, 2009. 1–4.
    [39] Lee HD, Kim SG, Cho K, et al. Integration of 4F2 selector-less crossbar array 2 Mb ReRAM based on transition metal oxides for high density memory applications. 2012 Symposium on VLSI Technology (VLSIT). Honolulu: IEEE, 2012. 151–152.
    [40] Talati N, Gupta S, Mane P, et al. Logic design within memristive memories using Memristor-Aided loGIC (MAGIC). IEEE Transactions on Nanotechnology, 2016, 15(4): 635–650. [doi: 10.1109/TNANO.2016.2570248
    [41] Chen A. A review of emerging Non-Volatile Memory (NVM) technologies and applications. Solid-State Electronics, 2016, 125: 25–38. [doi: 10.1016/j.sse.2016.07.006
    [42] Niu DM, Xu C, Muralimanohar N, et al. Design trade-offs for high density cross-point resistive memory. Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design. Redondo Beach: ACM, 2012. 209–214.
    [43] Wang P, Li S, Sun GY, et al. RC-NVM: Enabling symmetric row and column memory accesses for in-memory databases. 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). Vienna: IEEE, 2018.518–530.
    [44] Chen Y, Zhang J, Xu YB, et al. A ReRAM-based row-column-oriented memory architecture for convolutional neural networks. IEICE Transactions on Electronics, 2019, E102. C(7): 580–584. [doi: 10.1587/transele.2018CTS0001
    [45] Talati N, Ha H, Perach B, et al. CONCEPT: A column-oriented memory controller for efficient memory and PIM operations in RRAM. IEEE Micro, 2019, 39(1): 33–43. [doi: 10.1109/MM.2018.2890033
    [46] Li S, Xiao N, Wang P, et al. RC-NVM: Dual-addressing non-volatile memory architecture supporting both row and column memory accesses. IEEE Transactions on Computers, 2019, 68(2): 239–254. [doi: 10.1109/TC.2018.2868368
    [47] 罗乐, 刘轶, 钱德沛. 内存计算技术研究综述. 软件学报, 2016, 27(8): 2147–2167. [doi: 10.13328/j.cnki.jos.005103
    [48] Stonebraker M, Abadi DJ, Batkin A, et al. C-store: A column-oriented DBMS. Proceedings of the 31st International Conference on Very Large Data Bases. Trondheim: ACM, 2005. 553–564.
    [49] Abadi DJ, Boncz PA, Harizopoulos S. Column-oriented database systems. Proceedings of the VLDB Endowment, 2009, 2(2): 1664–1665. [doi: 10.14778/1687553.1687625
    [50] 杨小娟. 数据挖掘国内研究综述. 电脑编程技巧与维护, 2020, (8): 115–117. [doi: 10.3969/j.issn.1006-4052.2020.08.042
    [51] 于琳, 王龙葛. 基于矩阵乘法的加密算法研究. 信息系统工程, 2016, (4): 136, 138
    [52] 石伟, 张明, 郭御风, 等. 实时微处理器体系结构综述. 计算机工程与科学, 2015, 37(5): 857–864. [doi: 10.3969/j.issn.1007-130X.2015.05.002
    引证文献
    网友评论
    网友评论
    分享到微博
    发 布
引用本文

陈瑞,夏承遗.面向列的内存访问研究综述.计算机系统应用,2021,30(12):10-17

复制
分享
文章指标
  • 点击次数:1073
  • 下载次数: 4776
  • HTML阅读次数: 3837
  • 引用次数: 0
历史
  • 收稿日期:2021-02-26
  • 最后修改日期:2021-03-28
  • 在线发布日期: 2021-12-10
文章二维码
您是第11482491位访问者
版权所有:中国科学院软件研究所 京ICP备05046678号-3
地址:北京海淀区中关村南四街4号 中科院软件园区 7号楼305房间,邮政编码:100190
电话:010-62661041 传真: Email:csa (a) iscas.ac.cn
技术支持:北京勤云科技发展有限公司

京公网安备 11040202500063号